:::

詳目顯示

回上一頁
題名:運用混合式量子與經典深度學習於半導體晶圓缺陷自動識別
作者:楊元福
作者(外文):Yang, Yuan-Fu
校院名稱:國立清華大學
系所名稱:跨院國際博士班學位學程
指導教授:孫民
學位類別:博士
出版日期:2023
主題關鍵詞:深度學習量子神經網路缺陷檢測Deep LearningQuantum Neural NetworkDefect Detection
原始連結:連回原系統網址new window
相關次數:
  • 被引用次數被引用次數:期刊(0) 博士論文(0) 專書(0) 專書論文(0)
  • 排除自我引用排除自我引用:0
  • 共同引用共同引用:0
  • 點閱點閱:1
隨著物聯網和人工智慧的蓬勃發展,帶來對半導體晶片需求的大幅上升。在半導體製造的大規模擴張與新技術的發展過程中會造成更多的缺陷晶圓。這些缺陷晶圓不僅會造成晶片製造商的成本損失之外,也會失去客戶的信任。此外這些未被檢測的缺陷晶圓將在後續的無效半導體製程中會對我們的環境造成影響,例如能源消耗和二氧化碳排放。
本研究針對半導體製程中的兩種情境,分別提出適合其領域的缺陷識別與分類演算法。首先針對高吞吐量且非瓶頸製程站點,提出一種適用於邊緣運算的傳統深度學習演算法。此製程的缺陷檢驗站點通常需要即時的運算與快速的反應,避免具有缺陷的製程持續加工以帶來更多不良品的產生。本文所提出的輕量化模型具有快速的推理能力,適合佈署在半導體的邊緣運算設備中。
其次針對低吞吐量的瓶頸製程站點,本文提出混合式量子-經典深度學習模型架構,利用量子計算的處理優勢來促進深度學習缺陷審查。此製程的特性是生產加工週期較長,不需要達到毫秒等級的即時運算速度與反應時間。傳統電腦的特徵提取搭配量子電腦的分類運算可以有效提高缺陷識別準確度。此外還探索了具有不同表達能力(Expressibility)和糾纏能力(Entangling)的參數化量子電路。這些實驗結果可用於構建未來量子深度學習的路線圖,以開發基於量子運算的半導體深度學習缺陷檢測。
Under the vigorous development of the Internet of Things and artificial intelligence, the demand for semiconductor chips has risen sharply. The massive expansion of semiconductor manufacturing and the development of new technologies will create more defect wafers. These defect wafers will not only cause the cost loss of the chip manufacturing company, but also lose the trust of customers. In addition, these undetected defect wafers will have an impact on our environment in the subsequent ineffective semiconductor processing, such as energy consumption and carbon dioxide emission.
This study proposes defect recognition and classification algorithms suitable for the two scenarios in the semiconductor manufacturing process. Firstly, a classical deep learning algorithm suitable for edge computing is proposed for high-throughput and non-bottleneck process stages. The defect inspection of this process usually requires real-time calculation and fast response to avoid continuous processing of the process with defects to bring more defective products. The lightweight model proposed in this paper has fast inference capabilities and is suitable for deployment in semiconductor edge computing devices.
Second, for low-throughput bottleneck process stages, this paper proposes a hybrid quantum-classical deep learning model that leverages the processing advantages of quantum computing to facilitate deep learning defect review. The characteristic of this process is that the production and processing cycle is relatively long, and it does not require instant calculation speed and response time at the millisecond level. The feature extraction of classical computer combined with the classification operation of quantum computer can effectively improve the accuracy of defect identification. Furthermore, this paper explores parametric quantum circuits with various entangling and expressibility capabilities. The experimental results of this study can be used to build a roadmap for future semiconductor defect detection.
[1] YuanFu Yang and Min Sun, “Semiconductor Defect Pattern Classification by Self-Proliferation-and-Attention Neural Network”, IEEE Transactions on Semiconductor Manufacturing, vol. 35, no. 1, pp. 16-23, Feb. 2022.
[2] YuanFu Yang and Min Sun, “A Novel Deep Learning Architecture for Global Defect Classification: Self-Proliferating Neural Network (SPNet)”, 2021 32nd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), pp. 1-6, 2021.
[3] Haoyu Yang, Shuhe Li, Yuzhe Ma, Bei Yu, and Evangeline F. Y. Young, “GAN-OPC: Mask Optimization with Lithography-guided Generative Adversarial Nets”, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), pp. 1-6, 2018.
[4] YuanFu Yang and Min Sun, “Hybrid Quantum-Classical Machine Learning for Lithography Hotspot Detection”, 2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), pp. 1-6, 2022.
[5] Paul B. Chou, A. Ravishankar Rao, Martin C. Sturzenbecker, Frederick Y. Wu, and Virginia H. Brecher, “Automatic Defect Classification for Semiconductor Manufacturing”, Machine Vision and Applications, vol. 9, no. 4, pp. 201-214, 1997.
[6] Fei-Long Chen and Shu-Fan Liu, “A Neural-network Approach to Recognize Defect Spatial Pattern in Semiconductor Fabrication”, IEEE Transactions on Semiconductor Manufacturing, vol. 13, no. 3, pp. 366-373, Aug. 2000.
[7] Vadim Borisov and Jürgen Scheible, “Lithography Hotspots Detection Using Deep Learning”, 2018 15th International Conference on Synthesis Modeling Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Aug. 2018.
[8] Takeshi Nakazawa and Deepak V. Kulkarni, “Wafer Map Defect Pattern Classification and Image Retrieval Using Convolutional Neural Network”, IEEE Transactions on Semiconductor Manufacturing, vol. 31, no. 2, pp. 309-314, Jan. 2018.
[9] YuanFu Yang and Min Sun, “Double Feature Extraction Method for Wafer Map Classification Based on Convolution Neural Network”, 2020 31st Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), pp. 1-6, Aug. 2020.
[10] Karen Simonyan and Andrew Zisserman, “Very Deep Convolutional Networks for Large-scale Image Recognition”, arXiv:1409.1556, 2014.
[11] Sergey Ioffe and Christian Szegedy, “Batch Normalization: Accelerating Deep Network Training by Reducing Internal Covariate Shift”, Proceedings of the 32nd International Conference on International Conference on Machine Learning, vol.37, pp. 448–456, 2015.
[12] Kaiming He, Xiangyu Zhang, Shaoqing Ren, and Jian Sun, “Deep Residual Learning for Image Recognition”, 2016 IEEE Conference on Computer Vision and Pattern Recognition (CVPR), pp. 770-778, 2016.
[13] Xiaolong Wang, Ross Girshick, Abhinav Gupta, and Kaiming He, “Non-local Neural Networks”, 2018 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), pp. 7794-7803, 2018.
[14] Han Hu, Zheng Zhang, Zhenda Xie, and Stephen Lin, “Local Relation Networks for Image Recognition”, 2019 IEEE/CVF International Conference on Computer Vision (ICCV), pp. 3463-3472, 2019.
[15] Yue Cao, Jiarui Xu, Stephen Lin, Fangyun Wei, and Han Hu, “GCNet: Non-Local Networks Meet Squeeze-Excitation Networks and Beyond”, 2019 IEEE/CVF International Conference on Computer Vision Workshop (ICCVW), pp. 1971-1980, 2019.
[16] Tariq M. Khan, Antonio Robles-Kelly, “Machine Learning: Quantum vs Classical”, IEEE Access, vol. 8, pp. 219275-219294, 2020.
[17] Thomas B. McMullen, “Introduction to the Theory of Constraints Management System”, CRC Press, 1998.
[18] Seth Lloyd, Masoud Mohseni, and Patrick Rebentrost, “Quantum Principal Component Analysis”, Nature Physics, 10(9):631, 2014.
[19] Patrick Rebentrost, Masoud Mohseni, and Seth Lloyd, “Quantum Support Vector Machine for Big Data Classification”, Physical Review Letters, 113(13):130503, 2014.
[20] Nathan Wiebe and Christopher Granade, “Can Small Quantum Systems Learn?”, arXiv:1512.03145, 2015.
[21] Nathan Wiebe, Daniel Braun, and Seth Lloyd, “Quantum Algorithm for Data Fitting”, Physical Review Letters, 109(5):050505, 2012.
[22] Ashley Montanaro, “Quantum Speedup of Monte Carlo Methods”, Proceedings of the Royal Society A, 471(2181): 20150301, 2015.
[23] Iordanis Kerenidis and Anupam Prakash, “Quantum Recommendation Systems”, arXiv:1603.08675, 2016.
[24] Ji Fu Kung, Patrick Cheng, Austin Hwu, Chuang Tse Wang, and Y.B. Hsu, “Wafer Pattern Classification and Auto Disposition by Machine Learning”, Joint International Symposium on e-Manufacturing and Design Collaboration (eMDC) & Semiconductor Manufacturing (ISSM), pp. 1-3, 2017.
[25] Kouta Nakata, Ryohei Orihara, Yoshiaki Mizuoka, and Kentaro Takagi, “A Comprehensive Big-Data-Based Monitoring System for Yield Enhancement in Semiconductor Manufacturing”, IEEE Transactions on Semiconductor Manufacturing, vol. 30, no. 4, pp. 339-344, Nov. 2017.
[26] Ghalia Tello, Omar Y. Al-Jarrah, Paul D. Yoo, Yousof Al-Hammadi, Sami Muhaidat, and Uihyoung Lee, “Deep-structured Machine Learning Model for the Recognition of Mixed-Defect Patterns in Semiconductor Fabrication Processes”, IEEE Transactions on Semiconductor Manufacturing, vol. 31, no. 2, pp. 315-322, May 2018.
[27] Kiryong Kyeong and Heeyoung Kim, “Classification of Mixed-Type Defect Patterns in Wafer Min Maps Using Convolutional Neural Networks”, IEEE Transactions on Semiconductor Manufacturing, vol. 31, no. 3, pp. 395-402, Aug. 2018.
[28] Junhong Kim ,Hyungseok Kim, Jaesun Park, Kyounghyun Mo, and Pilsung Kang, “Bin2Vec: A Better Wafer Bin Map Coloring Scheme for Comprehensible Visualization and Effective Bad Wafer Classification”, Applied Sciences, vol. 9, no. 3, pp. 597, Feb. 2019.
[29] Roberto di Bella, Diego Carrera, Beatrice Rossi, Pasqualina Fragneto, and Giacomo Boracchi, “Wafer Defect Map Classification Using Sparse Convolutional Networks”, International Conference of Image Analysis and Processing (ICIAP), pp. 125-136, 2019.
[30] Yuting Kong and Dong Ni, “Recognition and Location of Mixed-Type Patterns in Wafer Bin Maps”, IEEE International Conference on Smart Manufacturing, Industrial & Logistics Engineering (SMILE), pp. 4-8, Apr. 2019.
[31] Yusung Kim, Donghee Cho, and Jee-Hyong Lee, “Wafer Map Classifier using Deep Learning for Detecting Out-of-Distribution Failure Patterns”, IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), pp. 1-5, Jul. 2020.
[32] Dong-Yang Du and Zheng Shi, “A Wafer Map Defect Pattern Classification Model Based on Deep Convolutional Neural Network”, IEEE 15th International Conference on Solid-State & Integrated Circuit Technology (ICSICT), pp. 2-4, Nov. 2020.
[33] Jaewoong Shim, Seokho Kang, and Sungzoon Cho, “Active Learning of Convolutional Neural Network for Cost-Effective Wafer Map Pattern Classification”, IEEE Transactions on Semiconductor Manufacturing, vol. 33, no. 2, pp. 258-266, May 2020.
[34] Po-Chou Shih, Chun-Chin Hsu, and Fang-Chih Tien, “Automatic Reclaimed Wafer Classification Using Deep Learning Neural Networks”, Symmetry, vol. 12, no. 5, pp. 1-19, 2020.
[35] Jong-Chih Chien, Ming-Tao Wu, and Jiann-Der Lee, “Inspection and Classification of Semiconductor Wafer Surface Defects Using CNN Deep Learning Networks”, Applied Sciences, vol. 10, no. 15, pp. 1-13, 2020.
[36] Yuting Kong and Dong Ni, “Qualitative and Quantitative Analysis of Multi-Pattern Wafer Bin Maps”, IEEE Transactions on Semiconductor Manufacturing, vol. 33, no. 4, pp. 578-586, Nov. 2020.
[37] Naigong Yu, Qiao Xu, and Honglu Wang, “Wafer Defect Pattern Recognition and Analysis Based on Convolutional Neural Network”, IEEE Transactions on Semiconductor Manufacturing, vol. 32, no. 4, pp. 566-573, Nov. 2019.
[38] Jianbo Yu and Jiatong Liu, “Two-Dimensional Principal Component Analysis-Based Convolutional Autoencoder for Wafer Map Defect Detection”, IEEE Transactions on Industrial Electronics, vol. 68, no. 9, pp. 8789-8797, Sep. 2021.
[39] Peter Tulala, Hamidreza Mahyar, Elahe Ghalebi, and Radu Grosu, “Unsupervised Wafermap Patterns Clustering via Variational Autoencoders”, International Joint Conference on Neural Networks (IJCNN), pp. 1-8, Jul. 2018.
[40] Jianbo Yu, Xiaoyun Zheng, and Jiatong Liu, “Stacked Convolutional Sparse Denoising Auto-Encoder for Identification of Defect Patterns in Semiconductor Wafer Map”, Computers in Industry, vol. 109, pp. 121-133, Aug. 2019.
[41] Jianbo Yu, “Enhanced Stacked Denoising Autoencoder-Based Feature Learning for Recognition of Wafer Map Defects”, IEEE Transactions on Semiconductor Manufacturing, vol. 32, no. 4, pp. 613-624, Nov. 2019.
[42] Yuting Kong and Dong Ni, “A Semi-Supervised and Incremental Modeling Framework for Wafer Map Classification”, IEEE Transactions on Semiconductor Manufacturing, vol. 33, no. 1, pp. 62-71, Feb. 2020.
[43] Jonghyun Hwang and Heeyoung Kim, “Variational Deep Clustering of Wafer Map Patterns”, IEEE Transactions on Semiconductor Manufacturing, vol. 33, no. 3, pp. 466-475, Aug. 2020.
[44] Cheng Hao Jin, Hyun-Jin Kim, Yongjun Piao, Meijing Li, and Minghao Piao, “Wafer Map Defect Pattern Classification Based on Convolutional Neural Network Features and Error-Correcting Output Codes”, Journal of Intelligent Manufacturing, vol. 31, no. 8, pp. 1861-1875, Dec. 2020.
[45] Ashadullah Shawon, Md Omar Faruk, Masrur Bin Habib, and Abdullah Mohammad Khan, “Silicon Wafer Map Defect Classification Using Deep Convolutional Neural Network with Data Augmentation”, IEEE 5th International Conference on Computer and Communications (ICCC), pp. 1995-1999, Dec. 2019.
[46] Tsung-Han Tsai and Yu-Chen Lee, “A Light-Weight Neural Network for Wafer Map Classification Based on Data Augmentation”, IEEE Transactions on Semiconductor Manufacturing, vol. 33, no. 4, pp. 663-672, Nov. 2020.
[47] Mohamed Baker Alawieh, Duane Boning, and David Z. Pan, “Wafer Map Defect Patterns Classification Using Deep Selective Learning”, ACM/IEEE Design Automation Conference (DAC), pp. 1-6, Jul. 2020.
[48] Seokho Kang, “Rotation-Invariant Wafer Map Pattern Classification with Convolutional Neural Networks”, IEEE Access, vol. 8, pp. 170650-170658, 2020.
[49] Uzma Batool, Mohd Ibrahim Shapiai, Hilman Fauzi, and Jia Xian Fong, “Convolutional Neural Network for Imbalanced Data Classification of Silicon Wafer Defects”, IEEE International Colloquium on Signal Processing & Its Applications (CSPA), pp. 230-235, Feb. 2020.
[50] Uzma Batool, Mohd Ibrahim Shapiai, Nordinah Ismail, Hilman Fauzi, and Syahrizal Salleh, “Oversampling Based on Data Augmentation in Convolutional Neural Network for Silicon Wafer Defect Classification”, Frontiers in Artificial Intelligence and Applications, vol. 327, pp. 3-12, 2020.
[51] Muhammad Saqlain, Qasim Abbas, and Jong Yun Lee, “A Deep Convolutional Neural Network for Wafer Defect Identification on an Imbalanced Dataset in Semiconductor Manufacturing Processes”, IEEE Transactions on Semiconductor Manufacturing, vol. 33, no. 3, pp. 436-444, Aug. 2020.
[52] Junliang Wang, Zhengliang Yang, Jie Zhang, Qihua Zhang, and Wei-Ting Kary Chien, “AdaBalGAN: An Improved Generative Adversarial Network With Imbalanced Learning for Wafer Defective Pattern Recognition”, IEEE Transactions on Semiconductor Manufacturing, vol. 32, no. 3, pp. 310-319, Aug. 2019.
[53] YongSung Ji and Jee-Hyong Lee, “Using GAN to Improve CNN Performance of Wafer Map Defect Type Classification: Yield Enhancement”, 2020 31st Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), pp. 1-6, Aug. 2020.
[54] Chia-YuHsu, Wei-Ju Chen, and Ju-ChienChien, “Similarity Matching of Wafer Bin Maps for Manufacturing Intelligence to Empower Industry 3.5 for Semiconductor Manufacturing”, Computers & Industrial Engineering, vol. 142, Apr. 2020.
[55] Donghwa Kim and Pilsung Kang, “Dynamic Clustering for Wafer Map Patterns using Self-Supervised Learning on Convolutional Autoencoders”, IEEE Transactions on Semiconductor Manufacturing, vol. 34, pp. 444-454, Aug. 2021.
[56] Frederik Beuth, Tobias Schlosser, Michael Friedrich, and Danny Kowerko, “Improving Automated Visual Fault Detection by Combining a Biologically Plausible Model of Visual Attention with Deep Learning”, 46th Annual Conference of the IEEE Industrial Electronics Society (IECON), pp. 5323-5330, Oct 2020.
[57] Xiaoyan Chen, Jianyong Chen, Xiaoguang Han, Chundong Zhao, Dongyang Zhang, Kuifeng Zhu, and Yanjie Su, “A Light-Weighted CNN Model for Wafer Structural Defect Detection”, IEEE Access, vol. 8, pp. 24006-24018, 2020.
[58] Mark Sandler, Andrew Howard, Menglong Zhu, Andrey Zhmoginov, and Liang-Chieh Chen, “MobileNetV2: Inverted Residuals and Linear Bottlenecks”, 2018 IEEE/CVF Computer Vision and Pattern Recognition Conference (CVPR), pp. 4510-4520, Jun. 2018.
[59] Yoichi Tomioka, Tetsuaki Matsunawa, Chikaaki Kodama, and Shigeki Nojima, “Lithography Hotspot Detection by Two-Stage Cascade Classifier Using Histogram of Oriented Light Propagation”, 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), 2017.
[60] Fan Yang, Charles C. Chiang, Xuan Zeng, and Dian Zhou, “Efficient SVM-based Hotspot Detection Using Spectral Clustering”, IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-4, 2017.
[61] Gaurav Rajavendra Reddy, Constantinos Xanthopoulos, and Yiorgos Makris, “On Improving Hotspot Detection Through Synthetic Pattern-Based Database Enhancement”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 40, pp. 2522 - 2527, Dec. 2021.
[62] Haoyu Yang, Yajun Lin, Bei Yu, and Evangeline F. Y. Young, “Lithography Hotspot Detection: From Shallow to Deep Learning”, 30th IEEE International System-on-Chip Conference (SOCC), pp. 233-238, 2017.
[63] V. S. Ajna and Neetha George, “Detection of Hotspots in Layout Patterns Using Deep Learning”, 10th International Conference on Computing, Communication and Networking Technologies (ICCCNT), pp. 1-6, 2019.
[64] Kang Liu, Benjamin Tan, Gaurav Rajavendra Reddy, Siddharth Garg, Yiorgos Makris, and Ramesh Karri, “Bias Busters: Robustifying DL-based Lithographic Hotspot Detectors Against Backdooring Attacks”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 2077-2089, Oct. 2020.
[65] Tao Zhou, Xuelong Shi, YanYan, Chen Li, Shoumian Chen, Yuhang Zhao, Wenzhan Zhou, Kan Zhou, and Xuan Zeng, “An Effective Method of Contour Extraction for SEM Image Based on DCNN”, International Workshop on Advanced Patterning Solutions (IWAPS), pp. 1-4, 2020.
[66] Tao Zhou, Bowen Xu, Chen Li, Xuling Diao, Yan Yan, Shoumian Chen, Yuhan Zhao, Kan Zhou, Wenzhan Zhou, Xuan Zeng, and Xuelong Shi, “Mining Lithography Hotspots from Massive SEM Images Using Machine Learning Model”, China Semiconductor Technology International Conference (CSTIC), pp. 1-3, 2021.
[67] Xuanyu Huang, Rui Zhang, Yu Huang, Peiyao Wang, and Mei Li, “Enhancements of Model and Method in Lithography Hotspot Identification”, Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 102-107, 2021.
[68] Xuezhong Lin, Jingyu Pan, Jinming Xu, Yiran Chen, and Cheng Zhuo, “Lithography Hotspot Detection via Heterogeneous Federated Learning with Local Adaptation”, arXiv:2107.04367, 2021.
[69] Haoyu Yang, Jing Su, Yi Zou, Yuzhe Ma, Bei Yu, and Evangeline F. Y. Young, “Layout Hotspot Detection with Feature Tensor Generation and Deep Biased Learning”, Design Automation Conference (DAC), 2017.
[70] Haoyu Yang, Luyang Luo, Jing Su, Chenxi Lin, and Bei Yu, “Imbalance Aware Lithography Hotspot Detection: a Deep Learning Approach”, SPIE Advanced Lithography, 2017.
[71] Vadim Borisov and Jürgen Scheible, “Lithography Hotspots Detection Using Deep Learning”, 15th International Conference on Synthesis Modeling Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Aug. 2018.
[72] Jaehoon Kim, Yunhyoung Nam, Min-Cheol Kang, Kihyun Kim, Jisuk Hong, Sooryong Lee, and Do-Nyun Kim, “Adversarial Defect Detection in Semiconductor Manufacturing Process”, IEEE Transactions on Semiconductor Manufacturing, vol. 34, no. 3, pp. 365-371, Aug 2021.
[73] Andrew G. Howard, Menglong Zhu, Bo Chen, Dmitry Kalenichenko, Weijun Wang, Tobias Weyand, Marco Andreetto, and Hartwig Adam, “MobileNets: Efficient Convolutional Neural Networks for Mobile Vision Applications”, arXiv:1704.04861, 2017.
[74] Andrew Howard, Mark Sandler, Grace Chu, Liang-Chieh Chen, Bo Chen, Mingxing Tan, Weijun Wang, Yukun Zhu, Ruoming Pang, Vijay Vasudevan, Quoc V. Le, and Hartwig Adam, “Searching for MobileNetV3”, 2019 IEEE/CVF International Conference on Computer Vision (ICCV), pp. 1314-1324, Nov. 2019.
[75] Kai Han, Yunhe Wang, Qi Tian, Jianyuan Guo, Chunjing Xu, and Chang Xu, “GhostNet: More Features from Cheap Operations”, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), pp. 1577-1586, 2020.
[76] Ashish Vaswani, Noam Shazeer, Niki Parmar, Jakob Uszkoreit, Llion Jones, Aidan N. Gomez, Lukasz Kaiser, and Illia Polosukhin, “Attention is All You Need”, Neural Information Processing Systems (NeurIPS), pp. 5998–6008, 2017.
[77] Jacob Devlin, Ming-Wei Chang, Kenton Lee, and Kristina Toutanova, “BERT: Pre-training of Deep Bidirectional Transformers for Language Understanding”, North American Chapter of the Association for Computational Linguistics (NAACL), pp. 4171–4186, 2019.
[78] Jie Hu, Li Shen, Samuel Albanie, and Gang Sun, “Squeeze-and-Excitation Networks”, 2018 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), pp. 7132-7141, Jun. 2018.
[79] Long Chen, Hanwang Zhang, Jun Xiao, Liqiang Nie, Jian Shao, Wei Liu, and Tat-Seng Chua, “SCA-CNN: Spatial and Channel-Wise Attention in Convolutional Networks for Image Captioning”, 2017 IEEE Conference on Computer Vision and Pattern Recognition (CVPR), pp. 6298-6306, 2017.
[80] Jiang-Jiang Liu, Qibin Hou, Ming-Ming Cheng, Changhu Wang, and Jiashi Feng, “Improving Convolutional Networks with Self-Calibrated Convolutions”, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), pp. 10093-10102, 2020.
[81] Yingwei Li, Xiaojie Jin, Jieru Mei, Xiaochen Lian, Linjie Yang, Cihang Xie, Qihang Yu, Yuyin Zhou, Song Bai, and Alan Yuille, “Neural Architecture Search for Lightweight Non-Local Networks”, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), pp. 10294-10303, 2020.
[82] Maria Schuld, Ilya Sinayskiy, Francesco Petruccione, “An Introduction to Quantum Machine Learning”, Contemporary Physics, pp. 172-185, 2015.
[83] Michael A. Nielsen, Isaac L. Chuang , “Quantum Computation and Quantum Information”, USA: American Association of Physics Teachers, 2002.
[84] N. David Mermin, “Quantum Computer Science: An Introduction”, USA: Cambridge University Press, 2007.
[85] Jacob Biamonte, Peter Wittek, Nicola Pancotti, Patrick Rebentrost, Nathan Wiebe, and Seth Lloyd, “Quantum Machine Learning”, Nature, pp. 195-202, Sep. 2017.
[86] Vojtěch Havlíček, Antonio D. Córcoles, Kristan Temme, Aram W. Harrow, Abhinav Kandala, Jerry M. Chow, and Jay M. Gambetta, “Supervised Learning with Quantum-Enhanced Feature Spaces”, Nature, pp. 209-212, Mar. 2019.
[87] Seth Lloyd, Masoud Mohseni, and Patrick Rebentrost, “Quantum Algorithms for Supervised and Unsupervised Machine Learning”, arXiv:1307.0411, 2013.
[88] Patrick Rebentrost, Masoud Mohseni, and Seth Lloyd, “Quantum Support Vector Machine for Big Data Classification”, Physical Review Letters, 2014.
[89] Vittorio Giovannetti, Seth Lloyd, and Lorenzo Maccone, “Quantum Random Access Memory”, Physical Review Letters, 2008.
[90] Nathan Wiebe, Ashish Kapoor, and Krysta Svore, “Quantum Algorithms for Nearest-Neighbor Methods for Supervised and Unsupervised Learning”, Quantum Information and Computation, pp. 318-358, 2015
[91] Edward Grant, Marcello Benedetti, Shuxiang Cao, Andrew Hallam, Joshua Lockhart, Vid Stojevic, Andrew G. Green, and Simone Severini, “Hierarchical Quantum Classifiers”, npj Quantum Information , pp. 1-8, 2018.
[92] X.-D. Cai, D. Wu, Z.-E. Su, M.-C. Chen, X.-L. Wang, L. Li, N.-L. Liu, Chao-Yang Lu, and Jian-Wei Pan, “Entanglement-Based Machine Learning on a Quantum Computer”, Physical Review Letters, 2015.
[93] Masahide Sasaki and Alberto Carlini, “Quantum Learning and Universal Quantum Matching Machine”, APS Physical, Aug. 2020.
[94] Akshay Ajagekar and Fengqi You, “Quantum Computing Assisted Deep Learning for Fault Detection and Diagnosis in Industrial Process Systems”, Computers & Chemical Engineering, Vol. 143, Dec. 2020.
[95] Yoav Levine, David Yakira, Nadav Cohen, and Amnon Shashua, “Deep Learning and Quantum Entanglement: Fundamental Connections with Implications to Network Design”, 6th Int. Conference on Learning Representations, 2018.
[96] Francesco Tacchino, Chiara Macchiavello, Dario Gerace, and Daniele Bajoni, “"An Artificial Neuron Implemented on an Actual Quantum Processor”, Npj Quantum Information, vol. 5, no. 1, pp. 1-8, 2019.
[97] Adenilton J. da Silva, Teresa B. Ludermir, and Wilson R. de Oliveira, “Quantum Perceptron Over a Field and Neural Network Architecture Selection in a Quantum Computer”, Neural Networks, vol. 76, pp. 55-64, 2016.
[98] Edward Farhi and Hartmut Neven, “Classification with Quantum Neural Networks on Near Term Processors”, arXiv:1802.06002, 2018.
[99] Gregory R. Steinbrecher, Jonathan P. Olson, Dirk Englund, and Jacques Carolan, “Quantum Optical Neural Networks”, npj Quantum Information, vol. 5, no. 1, pp. 1-9, 2019.
[100] Nathan Wiebe, Ashish Kapoor, and Krysta M. Svore, “Quantum Deep Learning”, arXiv:1412.3489, 2014.
[101] Iordanis Kerenidis, Jonas Landman, and Anupam Prakash, “Quantum Algorithms for Deep Convolutional Neural Networks”, arXiv:1911.01117, 2019.
[102] Andrea Mari, Thomas R. Bromley, Josh Izaac, Maria Schuld, and Nathan Killoran, “Transfer Learning in Hybrid Classical-Quantum Neural Networks”, arXiv:1912.08278, 2019.
[103] Remmy Zen, Long My, Ryan Tan, Frederic Hebert, Mario Gattobigio, Christian Miniatura, Dario Poletti, and Stephane Bressan, “Transfer Learning for Scalability of Neural-Network Quantum States”, Physical Review E, 2020.
[104] Sebastien Piat, Nairi Usher, Simone Severini, Mark Herbster, Tommaso Mansi, and Peter Mountney, “Image Classification with Quantum Pre-training and Autoencoders”, International Journal of Quantum Information, 2018.
[105] Ding Liu, Zekun Yao, and Quan Zhang, “Quantum-Classical Machine learning by Hybrid Tensor Networks”, arXiv:2005.09428, 2020.
[106] Marcello Benedetti, John Realpe-Gómez, and Alejandro Perdomo-Ortiz, “Quantum-Assisted Helmholtz Machines: A Quantum–Classical Deep Learning Framework for Industrial Datasets in Near-Term Devices”, Quantum Science and Technology, vol. 3, no. 3, 2018.
[107] Thomas E. Potok, Catherine Schuman, Steven R. Young, Robert M. Patton, Federico Spedalieri, Jeremy Liu, Ke-Thia Yao, Garrett Rose, and Gangotree Chakma, “A Study of Complex Deep Learning Networks on High Performance, Neuromorphic, and Quantum Computers”, ACM Journal on Emerging Technologies in Computing Systems, vol. 2, no. 14, pp. 1-21, 2018.
[108] Carlo Ciliberto, Mark Herbster, Alessandro Davide Ialongo, Massimiliano Pontil, Andrea Rocchetto, Simone Severini, and Leonard Wossnig, “Quantum Machine Learning: A Classical Perspective”, The Royal Society, 2018.
[109] T. Theurer, N. Killoran, D. Egloff, and M.B. Plenio, “Resource theory of superposition”, Physical review letters, vol. 119, no. 23, 2017.
[110] Luca Parisi, Daniel Neagu, Renfei Ma, and Felician Campean, “QReLU and m-QReLU: Two Novel Quantum Activation Functions to Aid Medical Diagnostics”, Expert Systems with Applications, Vol. 187, Jan 2022,
[111] Ilya Loshchilov and Frank Hutter, “SGDR: Stochastic Gradient Descent with Warm Restarts”, International Conference on Learning Representations (ICLR), May 2017.
[112] Marco Maggipinto, Matteo Terzi, and Chiara Masiero, “Adaptive Data Augmentation for Image Classification”, 2016 IEEE International Conference on Image Processing (ICIP), Aug. 2016.
[113] Yifan Sun, Changmao Cheng, Yuhan Zhang, Chi Zhang, Liang Zheng, Zhongdao Wang, and Yichen Wei, “Circle Loss: A Unified Perspective of Pair Similarity Optimization”, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), pp. 6397-6406, 2020.
[114] Sukin Sim, Peter D. Johnson, and Alan Aspuru-Guzik, “Expressibility and Entangling Capability of Parameterized Quantum Circuits for Hybrid Quantum-Classical Algorithms”, arXiv:1905.10876, 2019.
[115] Jimmy Lei Ba, Jamie Ryan Kiros, and Geoffrey E. Hinton, “Layer Normalization”, arXiv:1607.06450, 2016.
[116] Dmitry Ulyanov, Andrea Vedaldi, and Victor Lempitsky, “Instance Normalization: The Missing Ingredient for Fast Stylization”, arXiv:1607.08022, 2016.
[117] Yuxin Wu and Kaiming He, “Group Normalization”, arXiv:1803.08494, 2018.
[118] Shih-Cheng Hu and Yew Chuah, “Power Consumption of Semiconductor Fabs in Taiwan”, Econpapers - Energy, Vol. 28, issue 8, pp. 895-907, 2003.
[119] Lei Zhu, Qi She, Lidan Zhang, and Ping Guo, “A Spectral Nonlocal Block for Neural Networks”, arXiv:1911.01059, Nov. 2019.
[120] Iordanis Kerenidis, and Alessandro Luongo, “Quantum classification of the MNIST dataset via Slow Feature Analysis”, arXiv:1805.08837, 2018.
[121] Danial Dervovic, Mark Herbster, Peter Mountney, Simone Severini, Naïri Usher, and Leonard Wossnig, “Quantum linear systems algorithms: a primer”, arXiv:1802.08227, 2018.
[122] Zhikuan Zhao, Jack K. Fitzsimons, Patrick Rebentrost, Vedran Dunjko, and Joseph F. Fitzsimons, “Smooth input preparation for quantum and quantum-inspired machine learning”, arXiv:1804.00281, 2018.
[123] E. Miles Stoudenmire, and David J. Schwab, “Supervised Learning with Tensor Networks”, Neural Information Processing Systems (NeurIPS), 2016.
[124] Shuxiang Cao, Leonard Wossnig, Brian Vlastakis, Peter Leek, and Edward Grant, “Cost function embedding and dataset encoding for machine learning with parameterized quantum circuits”, arXiv:1910.03902, 2019.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
QR Code
QRCODE