:::

詳目顯示

回上一頁
題名:虛擬量測決策支援系統及半導體製造廠之實證研究
作者:洪瑋澤
作者(外文):Hung, Wei-Tse
校院名稱:國立清華大學
系所名稱:跨院國際博士班學位學程
指導教授:簡禎富
學位類別:博士
出版日期:2023
主題關鍵詞:先進製程控制系統虛擬量測決策支援系統批次控制系統錯誤偵測與分類深度學習卷積神經網路Advanced Process ControlVirtual MetrologyDecision Support SystemRun-to-Run Control SystemFault Detection and ClassificationDeep LearningConvolutional Neural Network
原始連結:連回原系統網址new window
相關次數:
  • 被引用次數被引用次數:期刊(0) 博士論文(0) 專書(0) 專書論文(0)
  • 排除自我引用排除自我引用:0
  • 共同引用共同引用:0
  • 點閱點閱:1
半導體產業藉由導入先進製程控制技術以確保在複雜且冗長的製造過程中,運用子系統達到對製程變異的掌握、監控及控制,進而確保整體製程良率與產能。其中,虛擬量測技術被用以提高半導體先進製程控制的性能,藉由預測未抽樣晶圓的品質特性特徵值,將原有的製程控制層級從批次控制提升至晶圓控制,以應對低抽樣率所造成的量測延遲影響。
然而,在既有的虛擬量測研究中,多數著重於提升模型預測準確率作為瓶頸突破點,鮮少有研究從虛擬量測技術對先進製程控制及產能提升之交互支援關係為面向進行探討。本研究採用紫式決策分析架構有系統地構建虛擬量測決策支援系統,藉由考量虛擬量測與批次控制間對製程的循環影響以及實務部署陷阱作為預測模型建立的依據,並考量預測錯誤的風險考量與錯誤偵測與分類系統所提供的異常監控狀態資訊,作為製程工程師執行晶圓補償的決策支援,從而提升整體先進製程控制系統的性能。
本研究藉由兩項實證研究作為架構可行性的驗證,第一項實證研究是在考量預測誤差對批次控制系統的風險情況,建構決策型虛擬量測架構以確保批次控制系統可穩定進行晶圓補償,實證結果顯示該架構有效避免誇張的錯誤預測,確實捕捉整體趨勢,並藉由預測信心分數構建補償決策以確保批次控制系統可穩定補償晶圓。第二項實證研究提出簡化重新制定監控規則的策略,透過遷移學習及圖像辨識技術加速異常SVID的識別,並藉由視覺化方式提升模型說服力,實證結果顯示可確實識別出異常SVID及異常區間,有效縮短在新變異發生時重新制定監控規則的時間。
Semiconductor manufacturing employed advanced process control (APC) to ensure the yield and production capacity under complex processes. APC system can be roughly divided into three subsystems according to different purposes to control, assess, and monitor the process variance. In the case of continuous technology migration of semiconductor manufacturing, many studies developed various technologies to improve the validity of each subsystem. However, the effectiveness of yield enhancement by improving each subsystem individually remains limited. A framework that considers the interaction relationship between APC subsystems is needed to improve the existing gap. Therefore, this study proposed a decision support system of virtual metrology (VM) that consider the influence between VM and run-to-run control (R2R), and the interaction between fault detection and classification (FDC) and VM. And establish a decision-making process to assist engineers in effectively using the predicted value of quality characteristics to achieve the goal of yield enhancement.
Two empirical studies were conducted in a leading semiconductor manufacturing company in Taiwan to validate the feasibility of the proposed framework. The first study constructs a decision-based virtual metrology framework that considered the case of failure risk when using VM predictors in R2R systems. The second study proposed a strategy to simplify the process of the redefine monitoring rule.
李家岩、洪佑鑫 (2022),製造數據科學,前程文化,新北市。
簡禎富 (2015),決策分析與管理,雙葉書廊,台北。
簡禎富 (2019),工業3.5,天下雜誌,台北。
簡禎富 (2022),藍湖策略,天下雜誌,台北。
Alicioglu, G. and Sun, B. (2022), “A survey of visual analytics for Explainable Artificial Intelligence methods,” Computers & Graphics, Vol. 102, pp. 502-520.
Blue, J., Gleispach, D., Roussy, A., and Scheibelhofer, P. (2013), “Tool Condition Diagnosis With a Recipe-Independent Hierarchical Monitoring Scheme,” IEEE Transactions on Semiconductor Manufacturing, Vol. 26, No. 1, pp. 82 - 91.
Cai, H., Feng, J., Yang, Q., Li, F., Li, X., and Lee, J. (2021), “Reference-based Virtual Metrology method with uncertainty evaluation for Material Removal Rate prediction based on Gaussian Process Regression,” The International Journal of Advanced Manufacturing Technology, Vol. 116, pp. 1199-1211.
Cai, H., Feng, J., Yang, Q., Li, W., Li, X., and Lee, J. (2020), “A virtual metrology method with prediction uncertainty based on Gaussian process for chemical mechanical planarization,” Computers in Industry, Vol. 119, pp. 103228.
Chan, L. L. T., Wu, X., Chen, J., Xie, L., and Chen, C.-I. (2018), “Just-in-time modeling with variable shrinkage based on Gaussian processes for semiconductor manufacturing,” IEEE Transactions on Semiconductor Manufacturing, Vol. 31, No. 3, pp. 335-342.
Chemali, C., Freudenberg, J., Hankinson, M., and Bendik, J. J. (2004), “Run-to-run critical dimension and sidewall angle lithography control using the PROLITH simulator,” IEEE Transactions on Semiconductor Manufacturing, Vol. 17, No. 3, pp. 388-401.
Chen, C.-H., Zhao, W.-D., Pang, T., and Lin, Y.-Z. (2020), “Virtual metrology of semiconductor PVD process based on combination of tree-based ensemble model,” ISA Transactions, Vol. 103, pp. 192-202.
Chen, P., Wu, S., Lin, J., Ko, F., Lo, H., Wang, J., Yu, C., and Liang, M. (2005), “Virtual metrology: A solution for wafer to wafer advanced process control,” Proceedings of ISSM 2005, IEEE International Symposium on Semiconductor Manufacturing, 2005.
Chien, C.-F., Chang, K.-H., and Wang, W.-C. (2014), “An empirical study of design-of-experiment data mining for yield-loss diagnosis for semiconductor manufacturing,” Journal of Intelligent Manufacturing, Vol. 25, pp. 961-972.
Chien, C.-F., Chen, Y.-J., and Hsu, C.-Y. (2015), “A novel approach to hedge and compensate the critical dimension variation of the developed-and-etched circuit patterns for yield enhancement in semiconductor manufacturing,” Computers & Operations Research, Vol. 53, pp. 309-318.
Chien, C.-F., Chen, Y.-J., Hsu, C.-Y., and Wang, H.-K. (2013a), “Overlay error compensation using advanced process control with dynamically adjusted proportional-integral R2R controller,” IEEE Transactions on Automation Science and Engineering, Vol. 11, No. 2, pp. 473-484.
Chien, C.-F. and Hsu, C.-Y. (2013), “Data mining for optimizing IC feature designs to enhance overall wafer effectiveness,” IEEE Transactions on Semiconductor Manufacturing, Vol. 27, No. 1, pp. 71-82.
Chien, C.-F., Hsu, C.-Y., and Chang, K.-H. (2013b), “Overall Wafer Effectiveness (OWE): A Novel Industry Standard for Semiconductor Ecosystem as a Whole,” Computers & Industrial Engineering, Vol. 65, No. 1, pp. 117-127.
Chien, C.-F., Hsu, C.-Y., and Chen, P.-N. (2013c), “Semiconductor fault detection and classification for yield enhancement and manufacturing intelligence,” Flexible Services and Manufacturing Journal, Vol. 25, No. 3, pp. 367-388.
Chien, C.-F., Hung, W.-T., and Liao, E. T.-Y. (2022a), “Redefining Monitoring Rules for Intelligent Fault Detection and Classification via CNN Transfer Learning for Smart Manufacturing,” IEEE Transactions on Semiconductor Manufacturing, Vol. 35, No. 2, pp. 158-165.
Chien, C.-F., Hung, W.-T., Pan, C.-W., and Nguyen, T. H. V. (2022b), “Decision-based Virtual Metrology for Advanced Process Control to Empower Smart Production and an Empirical Study for Semiconductor Manufacturing,” Computers & Industrial Engineering, Vol. 169, pp. 108245.
Chien, C.-F., Lin, Y.-S., and Lin, S.-K. (2020), “Deep reinforcement learning for selecting demand forecast models to empower Industry 3.5 and an empirical study for a semiconductor component distributor,” International Journal of Production Research, Vol. 58, No. 9, pp. 2784-2804.
Choi, J. and Jeong, M. K. (2019), “Deep Autoencoder With Clipping Fusion Regularization on Multistep Process Signals for Virtual Metrology,” IEEE Sensors Letters, Vol. 3, No. 1, pp. 7101804.
Dreyfus, P.-A., Psarommatis, F., May, G., and Kiritsis, D. (2022), “Virtual metrology as an approach for product quality estimation in Industry 4.0 a systematic review and integrative conceptual framework,” International Journal of Production Research, Vol. 60, No. 2, pp. 742-765.
Fan, S.-K. S., Hsu, C.-Y., Jen, C.-H., Chen, K.-L., and Juan, L.-T. (2020), “Defective wafer detection using a denoising autoencoder for semiconductor manufacturing processes,” Advanced Engineering Informatics, Vol. 46, pp. 101166.
Fan, S.-K. S., Lin, S.-C., and Tsai, P.-F. (2016), “Wafer fault detection and key step identification for semiconductor manufacturing using principal component analysis, AdaBoost and decision tree,” Journal of Industrial and Production Engineering, Vol. 33, No. 3, pp. 151-168.
Fu, W. and Chien, C.-F. (2019), “UNISON data-driven intermittent demand forecast framework to empower supply chain resilience and an empirical study in electronics distribution,” Computers & Industrial Engineering, Vol. 135, pp. 940-949.
Gunning, D., Stefik, M., Choi, J., Miller, T., Stumpf, S., and Yang, G.-Z. (2019), “XAI—Explainable artificial intelligence,” Science Robotics, Vol. 4, No. 37, pp. eaay7120.
Hirai, T. and Kano, M. (2015), “Adaptive Virtual Metrology Design for Semiconductor Dry Etching Process Through Locally Weighted Partial Least Squares,” IEEE Transactions on Semiconductor Manufacturing, Vol. 28, No. 2, pp. 137-144.
Hong, T.-Y., Chien, C.-F., and Chen, H.-P. (2023), “UNISON framework of system dynamics-based technology acquisition decision for semiconductor manufacturing and an empirical study,” Computers & Industrial Engineering, pp. 109012.
Hsu, C.-Y., Lin, S.-C., and Chien, C.-F. (2015), “A back-propagation neural network with a distributed lag model for semiconductor vendor-managed inventory,” Journal of Industrial and Production Engineering, Vol. 32, No. 3, pp. 149-161.
Hsu, C.-Y. and Liu, W.-C. (2021), “Multiple time-series convolutional neural network for fault detection and diagnosis and empirical study in semiconductor manufacturing,” Journal of Intelligent Manufacturing, Vol. 32, pp. 823-836.
Jia, X., Di, Y., Feng, J., Yang, Q., Dai, H., and Lee, J. (2018), “Adaptive virtual metrology for semiconductor chemical mechanical planarization process using GMDH-type polynomial neural networks,” Journal of Process Control, Vol. 62, pp. 44-54.
Kang, P., Kim, D., Lee, H.-j., Doh, S., and Cho, S. (2011), “Virtual metrology for run-to-run control in semiconductor manufacturing,” Expert Systems with Applications, Vol. 38, No. 3, pp. 2508-2522.
Kang, P., Lee, H.-j., Cho, S., Kim, D., Park, J., Park, C.-K., and Doh, S. (2009), “A virtual metrology system for semiconductor manufacturing,” Expert Systems with Applications, Vol. 36, No. 10, pp. 12554-12561.
Kang, S. (2018), “On effectiveness of transfer learning approach for neural network-based virtual metrology modeling,” IEEE Transactions on Semiconductor Manufacturing, Vol. 31, No. 1, pp. 149-155.
Kang, S. (2020), “Joint modeling of classification and regression for improving faulty wafer detection in semiconductor manufacturing,” Journal of Intelligent Manufacturing, Vol. 31, pp. 319-326.
Kang, S., An, D., and Rim, J. (2019), “Incorporating Virtual Metrology Into Failure Prediction,” IEEE Transactions on Semiconductor Manufacturing, Vol. 32, No. 4, pp. 553-558.
Kang, S. and Kang, P. (2017), “An intelligent virtual metrology system with adaptive update for semiconductor manufacturing,” Journal of Process Control, Vol. 52, pp. 66-74.
Kang, S., Kim, D., and Cho, S. (2016), “Efficient Feature Selection-Based on Random Forward Search for Virtual Metrology Modeling,” IEEE Transactions on Semiconductor Manufacturing, Vol. 29, No. 4, pp. 391-398.
Kao, C.-A., Cheng, F.-T., Wu, W.-M., Kong, F.-W., and Huang, H.-H. (2013), “Run-to-run control utilizing virtual metrology with reliance index,” IEEE Transactions on Semiconductor Manufacturing, Vol. 26, No. 1, pp. 69-81.
Khakifirooz, M., Chien, C.-F., and Chen, Y.-J. (2019a), “Dynamic support vector regression control system for overlay error compensation with stochastic metrology delay,” IEEE Transactions on Automation Science and Engineering, Vol. 17, No. 1, pp. 502-512.
Khakifirooz, M., Chien, C.-F., and Fathi, M. (2019b), “Compensating misalignment using dynamic random-effect control system: A case of high-mixed wafer fabrication,” IEEE Transactions on Automation Science and Engineering, Vol. 16, No. 4, pp. 1788-1799.
Khakifirooz, M., Fathi, M., and Chien, C.-F. (2018), “Modelling and Decision Support System for Intelligent Manufacturing: An Empirical Study for Feedforward-Feedback Learning-Based Run-to-Run Controller for Semiconductor Dry-Etching Process,” International Journal of Industrial Engineering, Vol. 25, No. 6, pp. 828-842.
Khakifirooz, M., Fathi, M., and Pardalos, P. M. (2019c), “Disturbance Rejection Run-to-Run Controller for Semiconductor Manufacturing,” in: (eds.), Computational Intelligence and Optimization Methods for Control Engineering, Springer, pp. 301-319.
Khan, A. A., Moyne, J. R., and Tilbury, D. M. (2007), “An Approach for Factory-Wide Control Utilizing Virtual Metrology,” IEEE Transactions on Semiconductor Manufacturing, Vol. 20, No. 4, pp. 364-375.
Khan, A. A., Moyne, J. R., and Tilbury, D. M. (2008), “Virtual metrology and feedback control for semiconductor manufacturing processes using recursive partial least squares,” Journal of Process Control, Vol. 18, No. 10, pp. 961-974.
Kim, E., Cho, S., Lee, B., and Cho, M. (2019), “Fault detection and diagnosis using self-attentive convolutional neural networks for variable-length sensor data in semiconductor manufacturing,” IEEE Transactions on Semiconductor Manufacturing, Vol. 32, No. 3, pp. 302-309.
Kim, S., Jang, J., and Kim, C. O. (2021), “A run-to-run controller for a chemical mechanical planarization process using least squares generative adversarial networks,” Journal of Intelligent Manufacturing, Vol. 32, No. 8, pp. 2267-2280.
Lang, C. I., Sun, F.-K., Veerasingam, R., Yamartino, J., and Boning, D. S. (2022), “Understanding and Improving Virtual Metrology Systems Using Bayesian Methods,” IEEE Transactions on Semiconductor Manufacturing, Vol. 35, No. 3, pp. 511-521.
Lee, C.-Y. and Chien, C.-F. (2022), “Pitfalls and protocols of data science in manufacturing practice,” Journal of Intelligent Manufacturing, Vol. 33, pp. 1189-1207.
Lee, H., Kim, Y., and Kim, C. O. (2017a), “A Deep Learning Model for Robust Wafer Fault Monitoring With Sensor Measurement Noise,” IEEE Transactions on Semiconductor Manufacturing, Vol. 30, No. 1, pp. 23-31.
Lee, H. K., Baek, K. H., and Shin, K. (2017b), “Resolving critical dimension drift over time in plasma etching through virtual metrology based wafer-to-wafer control,” Japanese Journal of Applied Physics, Vol. 56, No. 6, pp. 066502.
Lee, K. B., Cheon, S., and Kim, C. O. (2017c), “A convolutional neural network for fault classification and diagnosis in semiconductor manufacturing processes,” IEEE Transactions on Semiconductor Manufacturing, Vol. 30, No. 2, pp. 135-142.
Lee, K. B. and Kim, C. O. (2020), “Recurrent feature-incorporated convolutional neural network for virtual metrology of the chemical mechanical planarization process,” Journal of Intelligent Manufacturing, Vol. 31, pp. 73-86.
Lin, T.-H., Cheng, F.-T., Wu, W.-M., Kao, C.-A., Ye, A.-J., and Chang, F.-C. (2009), “NN-Based Key-Variable Selection Method for Enhancing Virtual Metrology Accuracy,” IEEE Transactions on Semiconductor Manufacturing, Vol. 22, No. 1, pp. 204-211.
Liu, F. T., Ting, K. M., and Zhou, Z.-H. (2012), “Isolation-based Anomaly Detection,” ACM Transactions on Knowledge Discovery from Data(TKDD), Vol. 6, No. 1, pp. 1-39.
Lynn, S. A., MacGearailt, N., and Ringwood, J. V. (2012a), “Real-time virtual metrology and control for plasma etch,” Journal of Process Control, Vol. 22, No. 4, pp. 666-676.
Lynn, S. A., Ringwood, J., and MacGearailt, N. (2012b), “Global and Local Virtual Metrology Models for a Plasma Etch Process,” IEEE Transactions on Semiconductor Manufacturing, Vol. 25, No. 1, pp. 94-103.
Maggipinto, M., Beghi, A., McLoone, S., and Susto, G. A. (2019), “DeepVM: A Deep Learning-based approach with automatic feature extraction for 2D input data Virtual Metrology,” Journal of Process Control, Vol. 84, pp. 24-34.
Moyne, J., Del Castillo, E., and Hurwitz, A. M. (2018), Run-to-run control in semiconductor manufacturing. CRC press.
Nduhura-Munga, J., Rodriguez-Verjan, G., Dauzere-Peres, S., Yugma, C., Vialletelle, P., and Pinaton, J. (2013), “A literature review on sampling techniques in semiconductor manufacturing,” IEEE Transactions on Semiconductor Manufacturing, Vol. 26, No. 2, pp. 188-195.
Park, C., Kim, Y., Park, Y., and Kim, S. B. (2018), “Multitask learning for virtual metrology in semiconductor manufacturing systems,” Computers & Industrial Engineering, Vol. 123, pp. 209-219.
Park, S., Jeong, S., Jang, Y., Ryu, S., Roh, H.-J., and Kim, G.-H. (2015), “Enhancement of the Virtual Metrology Performance for Plasma-Assisted Oxide Etching Processes by Using Plasma Information (PI) Parameters,” IEEE Transactions on Semiconductor Manufacturing, Vol. 28, No. 3, pp. 241-246.
Roeder, G., Winzer, S., Schellenberger, M., Jank, S., and Pfitzner, L. (2014), “Feasibility Evaluation of Virtual Metrology for the Example of a Trench Etch Process,” IEEE Transactions on Semiconductor Manufacturing, Vol. 27, No. 3, pp. 327-334.
Samek, W., Wiegand, T., and Müller, K.-R. (2017), “Explainable Artificial Intelligence: Understanding, Visualizing and Interpreting Deep Learning Models,” ITU Journal: ICT Discoveries, Vol. 1, No. 1, pp. 1-10.
Severson, K., Chaiwatanodom, P., and Braatz, R. D. (2016), “Perspectives on process monitoring of industrial systems,” Annual Reviews in Control, Vol. 42, pp. 190-200.
Simonyan, K. and Zisserman, A. (2015), “Very deep convolutional networks for large-scale image recognition,” Proc. Int. Conf. Learn. Represent., pp. 1-47.
Susto, G. A., Pampuri, S., Schirru, A., Beghi, A., and De Nicolao, G. (2015), “Multi-step virtual metrology for semiconductor manufacturing: A multilevel and regularization methods-based approach,” Computers & Operations Research, Vol. 53, pp. 328-337.
Suthar, K., Shah, D., Wang, J., and He, Q. P. (2019), “Next-generation virtual metrology for semiconductor manufacturing: A feature-based framework,” Computers & Chemical Engineering, Vol. 127, pp. 140-149.
Tamaki, K. and Kaneko, S. i. (2013), “Multiparametric Virtual Metrology Model Building by Job-Shop Data Fusion Using a Markov Chain Monte Carlo Method,” IEEE Transactions on Semiconductor Manufacturing, Vol. 26, No. 3, pp. 319-327.
Tin, T. C., Tan, S. C., and Lee, C. K. (2022), “Virtual Metrology in Semiconductor Fabrication Foundry Using Deep Learning Neural Networks,” IEEE Access, Vol. 10, pp. 81960 - 81973.
Tsutsui, T. and Matsuzawa, T. (2019), “Virtual Metrology Model Robustness Against Chamber Condition Variation Using Deep Learning,” IEEE Transactions on Semiconductor Manufacturing, Vol. 32, No. 4, pp. 428-433.
Urhan, A. and Alakent, B. (2020), “Integrating adaptive moving window and just-in-time learning paradigms for soft-sensor design,” Neurocomputing, Vol. 392, pp. 23-37.
Wan, J. and McLoone, S. (2017), “Gaussian process regression for virtual metrology-enabled run-to-run control in semiconductor manufacturing,” IEEE Transactions on Semiconductor Manufacturing, Vol. 31, No. 1, pp. 12-21.
Wang, H.-K. and Chien, C.-F. (2020), “An inverse-distance weighting genetic algorithm for optimizing the wafer exposure pattern for enhancing OWE for smart manufacturing,” Applied Soft Computing, Vol. 94, pp. 106430.
Wang, Y., Yao, H., and Zhao, S. (2016), “Auto-encoder based dimensionality reduction,” Neurocomputing, Vol. 184, pp. 232-242.
Wu, M.-F., Lin, C.-H., Wong, D. S.-H., Jang, S.-S., and Tseng, S.-T. (2008), “Performance analysis of EWMA controllers subject to metrology delay,” IEEE Transactions on Semiconductor Manufacturing, Vol. 21, No. 3, pp. 413-425.
Wu, X., Chen, J., Xie, L., Chan, L. L. T., and Chen, C.-I. (2020), “Development of convolutional neural network based Gaussian process regression to construct a novel probabilistic virtual metrology in multi-stage semiconductor processes,” Control Engineering Practice, Vol. 96, pp. 104262.
Xu, H.-W., Qin, W., Lv, Y.-L., and Zhang, J. (2022), “Data-Driven Adaptive Virtual Metrology for Yield Prediction in Multibatch Wafers,” IEEE Transactions on Industrial Informatics, Vol. 18, No. 12, pp. 9008-9016.
Yang, W.-T., Blue, J., Roussy, A., Pinaton, J., and Reis, M. S. (2020), “A Structure Data-Driven Framework for Virtual Metrology Modeling,” Vol. 17, No. 3, pp. 1297-1306.
Yu, H.-C., Lin, K.-Y., and Chien, C.-F. (2014), “Hierarchical indices to detect equipment condition changes with high dimensional data for semiconductor manufacturing,” Journal of Intelligent Manufacturing, Vol. 25, pp. 933-943.
Yu, J. and Guo, P. (2020), “Run-to-Run Control of Chemical Mechanical Polishing Process Based on Deep Reinforcement Learning,” IEEE Transactions on Semiconductor Manufacturing, Vol. 33, No. 3, pp. 454-465.
Zeng, D. and Spanos, C. J. (2009), “Virtual Metrology Modeling for Plasma Etch Operations,” IEEE Transactions on Semiconductor Manufacturing, Vol. 22, No. 4, pp. 419-431.
Zhang, C., Gao, X., Li, Y., and Feng, L. (2018), “Fault Detection Strategy Based on Weighted Distance of k Nearest Neighbors for Semiconductor Manufacturing Processes,” IEEE Transactions on Semiconductor Manufacturing, Vol. 32, No. 1, pp. 75-81.
Zheng, Y., Ling, D., Wang, Y.-W., Jang, S.-S., and Tao, B. (2016), “Model quality evaluation in semiconductor manufacturing process with EWMA run-to-run control,” IEEE Transactions on Semiconductor Manufacturing, Vol. 30, No. 1, pp. 8-16.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top